<  Retour au portail Polytechnique Montréal

Documents publiés en "2016"

Monter d'un niveau
Pour citer ou exporter [feed] Atom [feed] RSS 1.0 [feed] RSS 2.0
Grouper par: Auteurs ou autrices | Département | Sous-type de document | Aucun groupement
Aller à : A | B | F | G | H | K | L | P | T | V
Nombre de documents: 25

A

Alizadeh, R., & Savaria, Y. (décembre 2016). Performance analysis of a reduced complexity SCMA decoder exploiting a low-complexity maximum-likelihood approximation [Communication écrite]. 23rd IEEE International Conference on Electronics Circuits and Systems (ICECS 2016), Monte Carlo, Monaco. Lien externe

Alizadeh, R., Belanger, N., Savaria, Y., & Boyer, F.-R. (juin 2016). Performance characterization of an SCMA decoder [Communication écrite]. 14th IEEE International New Circuits and Systems Conference (NEWCAS 2016), Vancouver, Canada (4 pages). Lien externe

Ammar, M., Hamad, G. B., Mohamed, O. A., & Savaria, Y. (septembre 2016). Efficient probabilistic fault tree analysis of safety critical systems via probabilistic model checking [Communication écrite]. Forum on Specification and Design Languages (FDL 2016), Bremen, Germany (8 pages). Lien externe

B

Bany Hamad, G., Ait Mohamed, O., & Savaria, Y. (septembre 2016). SMT-based reliability-aware synthesis for single event transients tolerant combinational circuits [Affiche]. Radiation Effects on Components & Systems Conference (RADECS 2016), Bremen, Germany. Non disponible

Bany Hamad, G., Kazma, G., Mohamed, O. A., & Savaria, Y. (novembre 2016). Efficient and accurate analysis of single event transients propagation using SMT-based techniques [Communication écrite]. 35th International Conference on Computer-Aided Design (ICCAD 2016), Austin, TX (7 pages). Lien externe

Benacer, I., Boyer, F.-R., Bélanger, N., & Savaria, Y. (juin 2016). A fast systolic priority queue architecture for a flow-based Traffic Manager [Communication écrite]. 14th IEEE International New Circuits and Systems Conference (NEWCAS 2016), Vancouver, Canada (4 pages). Lien externe

F

Fiorentino, M., Savaria, Y., Thibeault, C., & Gervais, P. (mai 2016). A practical design method for prototyping self-timed processors using FPGAs [Communication écrite]. IEEE International Symposium on Circuits and Systems (ISCAS 2016), Montréal, Québec. Lien externe

G

Gémieux, M., Savaria, Y., Zhu, G., & Frigon, J.-F. (juin 2016). Towards LTE physical layer virtualization on a COTS multicore platform with efficient scheduling [Communication écrite]. 14th IEEE International New Circuits and Systems Conference (NEWCAS 2016), Vancouver, Canada (4 pages). Lien externe

Guillemot, M., Nguyen, H., Bougataya, M., Blaquiere, Y., Lakhssassi, A., Shields, M., & Savaria, Y. (2016). Wafer-scale rapid electronic systems prototyping platform: User support tools and thermo-mechanical validation. Dans Novel Advances in Microsystems Technologies and Their Applications (67-100). Lien externe

H

Hamad, G. B., Kazma, G., Mohamed, O. A., & Savaria, Y. (septembre 2016). Comprehensive non-functional analysis of combinational circuits vulnerability to single event transients [Communication écrite]. Forum on Specification and Design Languages (FDL 2016), Bremen, Germany (7 pages). Lien externe

Hamad, G. B., Mohamed, O. A., & Savaria, Y. (mai 2016). Towards formal abstraction, modeling, and analysis of single event transients at RTL [Communication écrite]. IEEE International Symposium on Circuits and Systems (ISCAS 2016), Montréal, Québec. Lien externe

Hasib, O. A.-T., Savaria, Y., & Thibeault, C. (avril 2016). WeSPer: a flexible small delay defect quality metric [Communication écrite]. 34th IEEE VLSI Test Symposium (VTS 2016), Las Vegas, Nevada (6 pages). Lien externe

Hassan, A., Trigui, A., Shafique, U., Savaria, Y., & Sawan, M. (mai 2016). Wireless power transfer through metallic barriers enclosing a harsh environment, feasibility and preliminary results [Affiche]. IEEE International Symposium on Circuits and Systems (ISCAS 2016), Montréal, Québec. Lien externe

Hoque, K. A., Mohamed, O. A., & Savaria, Y. (avril 2016). Applying formal verification to early assessment of FPGA-based aerospace applications: Methodology and experience [Communication écrite]. Annual IEEE Systems Conference (SysCon 2016), Orlando, Flordia (6 pages). Lien externe

Hussain, W., Fakhoury, H., Desgreys, P., Blaquiere, Y., & Savaria, Y. (2016). An asynchronous delta-modulator based A/D converter for an electronic system prototyping platform. IEEE Transactions on Circuits and Systems I: Regular Papers, 63(6), 751-762. Lien externe

Hussain, W., Savaria, Y., & Blaquiere, Y. (mai 2016). A compact spatially configurable differential input stage for a field programmable interconnection network [Communication écrite]. IEEE International Symposium on Circuits and Systems (ISCAS 2016), Montréal, Québec. Lien externe

Hussain, W., Valorge, O., Blaquiere, Y., & Savaria, Y. (2016). A novel spatially configurable differential interface for an electronic system prototyping platform. Integration, the VLSI Journal, 55, 129-137. Lien externe

K

Kazma, G., Hamad, G. B., Mohamed, O. A., & Savaria, Y. (décembre 2016). Investigating the efficiency and accuracy of a data type reduction technique for soft error analysis [Communication écrite]. IEEE International Conference on Electronics, Circuits and Systems (ICECS 2016), Monte Carlo, Monaco. Lien externe

Khelifi, M., Massicotte, D., & Savaria, Y. (mai 2016). Towards efficient and concurrent FFTs implementation on Intel Xeon/MIC clusters for LTE and HPC [Communication écrite]. IEEE International Symposium on Circuits and Systems (ISCAS 2016), Montréal, Québec. Lien externe

L

Lakhssassi, A., Palenychka, R., Savaria, Y., Sayde, M., & Zaremba, M. (2016). Monitoring thermal stress in wafer-scale integrated circuits by the attentive vision method using an infrared camera. IEEE Transactions on Circuits and Systems for Video Technology, 26(2), 412-424. Lien externe

P

Prieur, D., Granger, E., Savaria, Y., & Thibeault, C. (2016). Efficient identification of faces in video streams using low-power multi-core devices. Dans Handbook of pattern recognition and computer vision (5e éd.). Lien externe

T

Tazi, F. Z., Thibeault, C., & Savaria, Y. (mai 2016). Detailed analysis of radiation-induced delays on I/O blocks of an SRAM-based FPGA [Communication écrite]. IEEE Canadian Conference on Electrical and Computer Engineering (CCECE 2016), Vancouver, British Columbia (5 pages). Lien externe

Tehrani, M. A., Savaria, Y., & Laurin, J.-J. (2016). Multiple targets direction-of-arrival estimation in frequency scanning array antennas. IET Radar, Sonar and Navigation, 10(3), 624-631. Lien externe

Trigui, A., Ali, M., Ammari, A. C., Savaria, Y., & Sawan, M. (juin 2016). Quad-Level Carrier Width Modulation demodulator for micro-implants [Communication écrite]. 14th IEEE International New Circuits and Systems Conference (NEWCAS 2016), Vancouver, Canada (4 pages). Lien externe

V

Vakili, S., Langlois, J. M. P., Boughzala, B., & Savaria, Y. (mars 2016). Memory-efficient string matching for intrusion detection systems using a high-precision pattern grouping algorithm [Communication écrite]. 12th ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS 2016), Santa Clara, California. Lien externe

Liste produite: Wed May 15 01:35:09 2024 EDT.