<  Retour au portail Polytechnique Montréal

Documents publiés en "2008"

Monter d'un niveau
Pour citer ou exporter [feed] Atom [feed] RSS 1.0 [feed] RSS 2.0
Grouper par: Auteurs ou autrices | Département | Sous-type de document | Aucun groupement
Aller à : A | B | H | K | L | M | N | P | S | T | V
Nombre de documents: 23

A

Anane, A., Aboulhamid, E. M., Vachon, J., & Savaria, Y. (mai 2008). Modeling and simulation of complex heterogeneous systems [Communication écrite]. IEEE International Symposium on Circuits and Systems (ISCAS 2008), Seattle, WA, United states. Lien externe

B

Bafumba-Lokilo, D., Savaria, Y., & David, J. P. (juin 2008). Generic crossbar network on chip for FPGA MPSoCs [Communication écrite]. Joint IEEE North-East Workshop on Circuits and Systems and TAISA Conference (NEWCAS-TAISA 2008). Lien externe

Basile-Bellavance, Y., Lepercq, E., Blaquiere, Y., & Savaria, Y. (août 2008). Hardware/software system co-verification of an active reconfigurable board with SystemC-VHDL [Communication écrite]. 15th IEEE International Conference on Electronics, Circuits and Systems (ICECS 2008). Lien externe

Bougataya, M., Lakhsasi, A., Norman, R., Prytula, R., Blaquière, Y., & Savaria, Y. (mai 2008). Steady state thermal analysis of a reconfigurable wafer-scale circuit board [Communication écrite]. IEEE Canadian Conference on Electrical and Computer Engineering (CCECE 2008), Niagara Falls, Ont.. Lien externe

Boulais, É., Binet, V., Degorce, J.-Y., Wild, G., Savaria, Y., & Meunier, M. (2008). Thermodynamics and Transport Model of Charge Injection in Silicon Irradiated by a Pulsed Focused Laser. IEEE Transactions on Electron Devices, 55(10), 2728-2735. Lien externe

Bui, H. T., & Savaria, Y. (2008). Design of a High-Speed Differential Frequency-to-Voltage Converter and Its Application in a 5-Ghz Frequency-Locked Loop. IEEE Transactions on Circuits and Systems I: Regular Papers, 55(3), 766-774. Lien externe

H

Hasan, S. R., Bélanger, N., & Savaria, Y. (2008). All digital skew tolerant synchronous interfacing methods for high-Performance point-to-point communication in DSM SoCs. (Rapport technique n° EPM-RT-2008-10). Disponible

Hasan, S. R., Belanger, N., & Savaria, Y. (octobre 2008). All-digital skew-tolerant interfacing method for systems with rational frequency ratios among multiple clock domains: leveraging a priori timing information [Communication écrite]. 1st Microsystems and Nanoelectronics Research Conference. Lien externe

K

Kowarzyk, G., Savaria, Y., & Haccoun, D. (mai 2008). Searching for short-span convolutional doubly self-orthogonal codes: a parallel implicitly-exhaustive-search algorithm [Communication écrite]. Canadian Conference on Electrical and Computer Engineering (CCECE 2008), Niagara Falls, Ontario. Lien externe

L

Lu, Z., El-Fouladi, J., Martel, S., & Savaria, Y. (juin 2008). A hybrid bacteria and microparticle detection platform on a CMOS chip: design, simulation and testing considerations [Communication écrite]. 14th IEEE International Mixed-Signals, Sensors, and Systems Test Workshop (IMS3TW 2008) (7 pages). Lien externe

M

Marche, D., Savaria, Y., & Gagnon, Y. (2008). Laser Fine-Tuneable Deep-Submicrometer Cmos 14-Bit Dac. IEEE Transactions on Circuits and Systems I: Regular Papers, 55(8), 2157-2165. Lien externe

Mbaye, M., Belanger, N., Savaria, Y., & Pierre, S. (juillet 2008). Loop-oriented metrics for exploring an application-specific architecture design-space [Communication écrite]. International Conference on Application-Specific Systems, Architectures and Processors (ASAP 2008). Lien externe

N

Naderi, A., Sawan, M., & Savaria, Y. (2008). On the design of undersampling continuous-time bandpass delta - Sigma modulators for gigahertz frequency A/D conversion. IEEE Transactions on Circuits and Systems I: Regular Papers, 55(11), 3488-3499. Lien externe

Ngoyi, G.-A. B., Langlois, J. M. P., & Savaria, Y. (juin 2008). Iterative design method for video processors based on an architecture design language and its application to ELA deinterlacing [Communication écrite]. Joint IEEE North-East Workshop on Circuits and Systems and TAISA Conference (NEWCAS-TAISA 2008). Lien externe

Norman, R., Lepercq, E., Blaquiere, Y., Valorge, O., Basile-Bellavance, Y., Prytula, R., & Savaria, Y. (juin 2008). An interconnection network for a novel reconfigurable circuit board [Communication écrite]. Joint IEEE North-East Workshop on Circuits and Systems and TAISA Conference (NEWCAS-TAISA 2008). Lien externe

Norman, R., Valorge, O., Blaquière, Y., Lepercq, É., Basile-Bellavance, Y., El-Alaoui, Y., Prytula, R., & Savaria, Y. (juin 2008). An active reconfigurable circuit board [Communication écrite]. Joint IEEE North-East Workshop on Circuits and Systems and TAISA Conference (NEWCAS-TAISA 2008), Montréal, QC, Canada. Lien externe

Nourivand, A., Al-Khalili, A. J., & Savaria, Y. (août 2008). Aggressive leakage reduction of SRAMs using error checking and correcting (ECC) techniques [Communication écrite]. 51st IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2008), Knoxville, TN, United states. Lien externe

P

Pontikakis, B., Bui, H. T., Boyer, F.-R., & Savaria, Y. (juin 2008). A novel phase-locked loop (PLL) architecture without an analog loop filter for better integration in ultra-deep submicron SoCs [Communication écrite]. Joint IEEE North-East Workshop on Circuits and Systems and TAISA Conference (NEWCAS-TAISA 2008). Lien externe

S

Sahraii, N., Savaria, Y., Thibeault, C., & Gagnon, F. (juin 2008). Scheduling of turbo decoding on a multiprocessor platform to manage its processing effort variability [Communication écrite]. Joint IEEE North-East Workshop on Circuits and Systems and TAISA Conference (NEWCAS-TAISA 2008). Lien externe

Salomon, M.-É., Izouggaghen, B., Khouas, A., & Savaria, Y. (2008). Spur Model for a Fixed-Frequency Signal Subject to Periodic Jitter. IEEE Transactions on Instrumentation and Measurement, 57(10), 2320-2328. Lien externe

T

Tanguay, L. F., Sawan, M., & Savaria, Y. (novembre 2008). A very-high output impedance current mirror for very-low voltage biomedical analog circuits [Communication écrite]. IEEE Asia-Pacific Conference on Circuits and Systems, Macao, China. Lien externe

Tremblay, J.-P., Savaria, Y., Thibeault, C., & Mbaye, M. (octobre 2008). Improving resource utilization in an multiple asynchronous ALU DSP architecture [Communication écrite]. 1st Microsystems and Nanoelectronics Research Conference. Lien externe

V

Valorge, O., Nguyen, A. T., Blaquière, Y., Norman, R., & Savaria, Y. (août 2008). Digital signal propagation on a wafer-scale smart active programmable interconnect [Communication écrite]. 15th IEEE International Conference on Electronics, Circuits and Systems (ICECS 2008), St. Julian's, Malta. Lien externe

Liste produite: Fri May 3 02:30:00 2024 EDT.