<  Retour au portail Polytechnique Montréal

Documents publiés en "2014"

Monter d'un niveau
Pour citer ou exporter [feed] Atom [feed] RSS 1.0 [feed] RSS 2.0
Grouper par: Auteurs ou autrices | Département | Sous-type de document | Aucun groupement
Aller à : B | D | F | G | H | K | L | N | S | T | Z
Nombre de documents: 23

B

Bany Hamad, G., Hasan, S. R., Mohamed, O. A., & Savaria, Y. (2014). New insights into the single event transient propagation through static and TSPC logic. IEEE Transactions on Nuclear Science, 61(4), 1618-1627. Lien externe

Blaquiere, Y., Basile-Bellavance, Y., Berrima, S., & Savaria, Y. (juin 2014). Design and validation of a novel reconfigurable and defect tolerant JTAG scan chain [Communication écrite]. IEEE International Symposium on Circuits and Systems (ISCAS 2014), Melbourne, VIC, Australia (4 pages). Lien externe

D

Deca, R., Cherkaoui, O., & Savaria, Y. (septembre 2014). Constraint-based configuration complexity model for autonomic network configuration management [Communication écrite]. Global Information Infrastructure and Networking Symposium (GIIS 2014), Montréal, Québec. Lien externe

F

Farah, R., Gan, Q., Langlois, J. M. P., Bilodeau, G.-A., & Savaria, Y. (2014). A computationally efficient importance sampling tracking algorithm. Machine Vision and Applications, 25(7), 1761-1777. Lien externe

Fischer, A., Plamondon, R., O'Reilly, C., & Savaria, Y. (septembre 2014). Neuromuscular representation and synthetic generation of handwritten whiteboard notes [Communication écrite]. 14th International Conference on Frontiers in Handwriting Recognition (ICFHR 2014), Crete, Greece. Lien externe

Fischer, A., Plamondon, R., Savaria, Y., Riesen, K., & Bunke, H. (août 2014). A Hausdorff heuristic for efficient computation of graph edit distance [Communication écrite]. Joint IAPR International Workshop on Structural, Syntactic, and Statistical Pattern Recognition (S+SSPR 2014), Joensuu, Finland. Lien externe

G

Gan, Q. F., Langlois, J. M. P., & Savaria, Y. (2014). Efficient Uniform Quantization Likelihood Evaluation for Particle Filters in Embedded Implementations. Journal of Signal Processing Systems for Signal Image and Video Technology, 75(3), 191-202. Lien externe

Gan, Q., Langlois, J. M. P., & Savaria, Y. (2014). A Parallel Systematic Resampling Algorithm for High-Speed Particle Filters in Embedded Systems. Circuits, Systems & Signal Processing, 33(11), 3591-3602. Lien externe

H

Hamad, G. B., Hasan, S. R., Mohamed, O. A., & Savaria, Y. (juin 2014). Abstracting Single Event Transient characteristics variations due to input patterns and fan-out [Communication écrite]. IEEE International Symposium on Circuits and Systems (ISCAS 2014), Melbourne, VIC, Australia (4 pages). Lien externe

Hamad, G. B., Hasan, S. R., Mohamed, O. A., & Savaria, Y. (août 2014). Modeling, analyzing, and abstracting single event transient propagation at gate level [Communication écrite]. IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS 2014), College Station, TX, USA. Lien externe

Hamad, G. B., Mohamed, O. A., & Savaria, Y. (décembre 2014). Probabilistic model checking of single event transient propagation at RTL level [Communication écrite]. 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS 2014), Marseille, France. Lien externe

Hoque, K. A., Mohamed, O. A., Savaria, Y., & Thibeault, C. (octobre 2014). Probabilistic model checking based DAL analysis to optimize a combined TMR-blind-scrubbing mitigation technique for FPGA-based aerospace applications [Communication écrite]. 12th ACM/IEEE International Conference on Methods and Models for System Design (MEMOCODE 2014), Lausanne, Switzerland. Lien externe

K

Keklikian, T., Langlois, J. M. P., & Savaria, Y. (juin 2014). A Memory Transaction Model for Sparse Matrix-Vector Multiplications on GPUs [Communication écrite]. 12th IEEE International New Circuits and Systems Conference (NEWCAS 2014), Trois-Rivières, Canada. Lien externe

Kowarzyk, G., Belanger, N., Haccoun, D., & Savaria, Y. (2014). Optimizing the parallel tree-search for finding shortest-span error-correcting CDO codes. IEEE Transactions on Parallel and Distributed Systems, 25(11), 2992-3001. Lien externe

L

Laflamme-Mayer, N., Blaquiere, Y., Savaria, Y., & Sawan, M. (2014). A configurable multi-rail power and I/O pad applied to wafer-scale systems. IEEE Transactions on Circuits and Systems I: Regular Papers, 61(11), 3135-3144. Lien externe

Li, M., Lauer, M., Zhu, G., & Savaria, Y. (2014). Determinism enhancement of AFDX networks via frame insertion and sub-virtual link aggregation. IEEE Transactions on Industrial Informatics, 10(3), 1684-1695. Lien externe

N

Nsame, P., Bois, G., & Savaria, Y. (août 2014). Adaptive real-time DSP acceleration for SoC applications [Communication écrite]. 57th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2014), College Station, TX. Lien externe

Nsame, P., Bois, G., & Savaria, Y. (décembre 2014). A data-driven energy efficient and flexible compute fabric architecture: For adaptive computing applied to ULSI of FFT [Communication écrite]. 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS 2014), Marseille, France. Lien externe

Nsame, P., Bois, G., & Savaria, Y. (mai 2014). Design and Test of Adaptive Computing Fabrics for Scalable and High-Efficiency Cognitive SoC Applications [Communication écrite]. IEEE 23rd North Atlantic Test Workshop (NATW 2014), Johnson City, NY, USA (4 pages). Lien externe

S

Shaheen, M. A., Hamoui, A. A., & Savaria, Y. (juin 2014). A current-output DAC for low-power low-noise log-domain modulators [Communication écrite]. 12th IEEE International New Circuits and Systems Conference (NEWCAS 2014), Trois-Rivieres, QC, Canada. Lien externe

Shaheen, M. A., Savaria, Y., & Hamoui, A. A. (2014). Design and modeling of high-resolution multibit log-domain modulators. Analog Integrated Circuits and Signal Processing, 79(3), 569-582. Lien externe

T

Tazi, F. Z., Thibeault, C., Savaria, Y., Pichette, S., & Audet, Y. (2014). On extra delays affecting I/O blocks of an SRAM-based FPGA due to ionizing radiation. IEEE Transactions on Nuclear Science, 61(6), 3138-3145. Lien externe

Z

Zarrabi, H., Al-Khalili, A., & Savaria, Y. (décembre 2014). Vt-conscious repeater insertion in power-managed VLSI [Communication écrite]. International Symposium on Integrated Circuits (ISIC 2014), Singapore. Lien externe

Liste produite: Fri Aug 16 03:05:41 2024 EDT.