<  Retour au portail Polytechnique Montréal

Documents dont l'auteur est "Nikdast, Mahdi"

Monter d'un niveau
Pour citer ou exporter [feed] Atom [feed] RSS 1.0 [feed] RSS 2.0
Grouper par: Auteurs ou autrices | Date de publication | Sous-type de document | Aucun groupement
Aller à : A | D | G | N | S
Nombre de documents: 15

A

Ayari, R., Nikdast, M., Hafnaoui, I., Beltrame, G., & Nicolescu, G. (2017). HypAp: a Hypervolume-Based Approach for Refining the Design of Embedded Systems. IEEE Embedded Systems Letters, 9(3), 57-60. Lien externe

D

Duong, L. H. K., Wang, Z., Nikdast, M., Xu, J., Yang, P., Wang, Z., Wang, Z., Maeda, R. K. V., Li, H., Wang, X., Le Beux, S., & Thonnart, Y. (2016). Coherent and Incoherent Crosstalk Noise Analyses in Interchip/Intrachip Optical Interconnection Networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 27(7), 2475-2487. Lien externe

Duong, L. H. K., Nikdast, M., Xu, J., Wang, Z., Thonnart, Y., Le Beux, S., Yang, P., Wu, X., & Wang, Z. (mars 2015). Coherent crosstalk noise analyses in ring-based optical interconnects [Communication écrite]. Design, Automation and Test in Europe Conference and Exhibition (DATE 2015), Grenoble, France. Lien externe

Duong, L. H. K., Xu, J., Wu, X., Wang, Z., Yang, P., Le Beux, S., & Nikdast, M. (2014). A Case Study of Signal-to-Noise Ratio in Ring-Based Optical Networks-on-Chip. IEEE Design & Test, 31(5), 55-65. Lien externe

G

Göhring de Magalhães, F., Nikdast, M., Hessel, F., Liboiron-Ladouceur, O., & Nicolescu, G. (octobre 2021). Hyco: A low-latency hybrid control plane for optical interconnection networks [Communication écrite]. 32nd IEEE International Workshop on Rapid System Prototyping (RSP 2021), Paris, France. Lien externe

Göhring de Magalhães, F., Hessel, F., Nikdast, M., Liboiron-Ladouceur, O., Xiong, Y., & Nicolescu, G. (mai 2018). Silicon photonic interconnects: Minimizing the controller latency [Communication écrite]. 28th Great Lakes Symposium on VLSI (GLSVLSI 2018), Chicago, IL. Lien externe

Göhring de Magalhães, F., Priti, R., Nikdast, M., Hessel, F., Liboiron-Ladouceur, O., & Nicolescu, G. (2016). Design and modelling of a low-latency centralized controller for optical integrated networks. IEEE Communications Letters, 20(3), 462-465. Lien externe

Göhring de Magalhães, F., Priti, R., Nikdast, M., Hessel, F., Liboiron-Ladouceur, O., & Nicolescu, G. (septembre 2015). A low-latency centralized controller for MZI-based optical integrated networks [Communication écrite]. International Conference on Photonics in Switching (PS 2015), Florence, Italy. Lien externe

N

Nikdast, M., Nicolescu, G., Trajkovic, J., & Liboiron-Ladouceur, O. (mai 2018). DeEPeR: Enhancing performance and reliability in chip-Scale optical interconnection networks [Communication écrite]. 28th Great Lakes Symposium on VLSI (GLSVLSI 2018), Chicago, IL. Lien externe

Nikdast, M., Nicolescu, G., & Liboiron-Ladouceur, O. (juin 2018). Improving Microresonators Reliability in Silicon Photonic Integrated Circuits [Communication écrite]. 7th Annual IEEE Photonics Society Optical Interconnects Conference (OI 2018), Santa Fe, NM. Lien externe

Nikdast, M., Nicolescu, G., Le Beux, S., & Xu, J. (édit.) (2017). Photonic interconnects for computing systems: Understanding and pushing design challenges. Lien externe

Nikdast, M. (2017). Research papers: writing tips and top-tier targets. IEEE Potentials, 36(3), 26-29. Lien externe

Nikdast, M. (2016). Research Tips for First-Year Ph.D. Students. IEEE Potentials, 35(3), 18-20. Lien externe

Nikdast, M., Nicolescu, G., Trajkovic, J., & Liboiron-Ladouceur, O. (novembre 2015). Silicon photonic integrated circuits under process variations [Communication écrite]. Asia Communications and Photonics Conference (ACPC 2015), Hong Kong. Lien externe

S

Sinha, S., & Nikdast, M. (2015). Finding happiness and satisfaction during your Ph.D. program. IEEE Potentials, 34(3), 36-38. Lien externe

Liste produite: Fri Apr 19 03:50:42 2024 EDT.